광주광역시 북구 용봉로 77 전남대학교 공과대학 6호관 717호 | ylee@jnu.ac.kr

Conferences

국내학회 (Domestic Conferences)

  1. “웨이퍼 및 패키지 양산환경을 고려한 High Speed Test Access Port 기반의 Design-for-Testability 방법론”
    이영우, 한동관, 한재웅, 김민석
    Samsung Foundry Symposium
    2020년 9월
  2. “하드웨어 오버헤드를 최소화한 block cipher 기반의 invasive 공격 검출회로”
    이영우, 이영광, 문민호, 강성호
    제20회 한국 테스트 학술대회
    2019년 6월
  3. “메모리 테스트를 위한 Workload 기반의 BOST 시스템 구조”
    문민호, 이영우, 이영광, 강성호
    제20회 한국 테스트 학술대회
    2019년 6월
  4. “BOST 시스템에서 출력 핀 수를 줄이기 위한 고장 데이터 압축 방법”
    이영광, 이영우, 문민호, 강성호
    제20회 한국 테스트 학술대회
    2019년 6월
  5. “테스트 출력 압축이 가능한 TSV 기반 차세대 반도체 테스트 구조”
    이영우, 서성열, 조기원, 문민호, 최인혁, 강성호
    제19회 한국 테스트 학술대회
    2018년 6월
  6. “마치 알고리즘 테스트를 위한 Instruction 기반 메모리 BOST 시스템”
    서성열, 문민호, 조기원, 이영우, 최인혁, 강성호
    제19회 한국 테스트 학술대회
    2018년 6월
  7. “Tri-State 검출 회로를 이용한 TSV 기반 차세대 반도체 테스트 구조”
    이영우, 서성열, 조기원, 최인혁, 강성호
    제18회 한국 테스트 학술대회
    2017년 6월
  8. “Instruction-based Built Off Self-Test (BOST)를 이용한 차세대 메모리 테스트 병렬성 향상 및 핀 감소 기법”
    서성열, 조기원, 이영우, 최인혁, 강성호
    제18회 한국 테스트 학술대회
    2017년 6월
  9. “Instruction-based Built Off Self-Test Methodology for Memory Test”
    서성열, 조기원, 이영우, 강성호
    제24회 한국 반도체 학술대회
    2017년 2월
  10. “TSV간 저항성 단락 불량 검출 모델링 및 분석”
    이영우, 정민호, 박기현, 강성호
    제17회 한국 테스트 학술대회
    2016년 6월
  11. “캐패시터 응용회로에서 디바이스의 대기전류 측정을 위한 최적화된 대기시간과 디바이스 인터페이스 응용회로에 관한 연구”
    이영우, 오강훈, 강성호
    제15회 한국 테스트 학술대회
    2014년 6월 (우수논문상 수상)
  12. “A Study on Testing Method for Specified Transactions between the Device with Built-in SPI Port and the SPI Slave Device”
    이영우, 오강훈
    제14회 한국 테스트 학술대회
    2013년 6월 (우수논문상 수상)
  13. “Source Synchronized Clock and Data Capture for Testing Jittered Device Data”
    이영우, 오강훈
    제13회 한국 테스트 학술대회
    2012년 6월